Publications

Results 26–50 of 173
Skip to search filters

Photothermal alternative to device fabrication using atomic precision advanced manufacturing techniques

Journal of Micro/Nanopatterning, Materials and Metrology

Katzenmeyer, Aaron M.; Dmitrovic, Sanja; Baczewski, Andrew D.; Campbell, Quinn C.; Bussmann, Ezra B.; Lu, Tzu-Ming L.; Anderson, Evan M.; Schmucker, Scott W.; Ivie, Jeffrey A.; Campbell, DeAnna M.; Ward, Daniel R.; Scrymgeour, David S.; Wang, George T.; Misra, Shashank M.

The attachment of dopant precursor molecules to depassivated areas of hydrogen-terminated silicon templated with a scanning tunneling microscope (STM) has been used to create electronic devices with subnanometer precision, typically for quantum physics experiments. This process, which we call atomic precision advanced manufacturing (APAM), dopes silicon beyond the solid-solubility limit and produces electrical and optical characteristics that may also be useful for microelectronic and plasmonic applications. However, scanned probe lithography lacks the throughput required to develop more sophisticated applications. Here, we demonstrate and characterize an APAM device workflow where scanned probe lithography of the atomic layer resist has been replaced by photolithography. An ultraviolet laser is shown to locally and controllably heat silicon above the temperature required for hydrogen depassivation on a nanosecond timescale, a process resistant to under- and overexposure. STM images indicate a narrow range of energy density where the surface is both depassivated and undamaged. Modeling that accounts for photothermal heating and the subsequent hydrogen desorption kinetics suggests that the silicon surface temperatures reached in our patterning process exceed those required for hydrogen removal in temperature-programmed desorption experiments. A phosphorus-doped van der Pauw structure made by sequentially photodepassivating a predefined area and then exposing it to phosphine is found to have a similar mobility and higher carrier density compared with devices patterned by STM. Lastly, it is also demonstrated that photodepassivation and precursor exposure steps may be performed concomitantly, a potential route to enabling APAM outside of ultrahigh vacuum.

More Details

Post-growth modulation doping by ion implantation

Applied Physics Letters

Chiu, P.Y.; Lidsky, D.; Chuang, Y.; Su, Y.H.; Li, J.Y.; Harris, C.T.; Lu, Tzu-Ming L.

Modulation doping is a commonly adopted technique to create two-dimensional (2D) electrons or holes in semiconductor heterostructures. One constraint, however, is that the intentional dopants required for modulation doping are controlled and incorporated during the growth of heterostructures. Using undoped strained germanium quantum wells as the model material system, we show, in this work, that modulation doping can be achieved post-growth of heterostructures by ion implantation and dopant-activation anneals. The carrier density is controlled ex situ by varying the ion fluence and implant energy, and an empirical calibration curve is obtained. While the mobility of the resulting 2D holes is lower than that in undoped heterostructure field-effect transistors built using the same material, the achievable carrier density is significantly higher. Potential applications of this modulation-doping technique are discussed.

More Details

Focused ion beam deposited carbon-platinum nanowires for cryogenic resistive thermometry

Carbon

Blagg, Kirsten; Allen, Portia; Lu, Tzu-Ming L.; Lilly, Michael L.; Singh, Meenakshi

The study of thermal effects, both classical and quantum, at cryogenic temperatures requires the use of on-chip, local, high-sensitivity thermometry. Carbon-platinum composites fabricated using focused ion beam (FIB) assisted deposition form a granular structure which is shown in this study to be uniquely suited for this application. Carbon-platinum thermometers deposited using a 24 pA ion beam current have high sensitivities below 1 K, comparable to the best cryogenic thermometers. In addition, these thermometers can be accurately placed to within 10s of nanometers on the chip using a mask-free process. They also have a weak magnetic field dependence, < 3% change in resistance with applied magnetic fields from 0 to 8 T. Finally, these thermometers are integrable into a variety of nanoscale devices due to the existing wide spread use of FIB.

More Details

Modeling assisted room temperature operation of atomic precision advanced manufacturing devices

International Conference on Simulation of Semiconductor Processes and Devices, SISPAD

Gao, Xujiao G.; Tracy, Lisa A.; Anderson, Evan M.; Campbell, DeAnna M.; Ivie, Jeffrey A.; Lu, Tzu-Ming L.; Mamaluy, Denis M.; Schmucker, Scott W.; Misra, Shashank M.

One big challenge of the emerging atomic precision advanced manufacturing (APAM) technology for microelectronics application is to realize APAM devices that operate at room temperature (RT). We demonstrate that semiclassical technology computer aided design (TCAD) device simulation tool can be employed to understand current leakage and improve APAM device design for RT operation. To establish the applicability of semiclassical simulation, we first show that a semiclassical impurity scattering model with the Fermi-Dirac statistics can explain the very low mobility in APAM devices quite well; we also show semiclassical TCAD reproduces measured sheet resistances when proper mobility values are used. We then apply semiclassical TCAD to simulate current leakage in realistic APAM wires. With insights from modeling, we were able to improve device design, fabricate Hall bars, and demonstrate RT operation for the very first time.

More Details

Assessing atomically thin delta-doping of silicon using mid-infrared ellipsometry

Journal of Materials Research

Katzenmeyer, Aaron M.; Luk, Ting S.; Bussmann, Ezra B.; Young, Steve M.; Anderson, Evan M.; Marshall, Michael T.; Ohlhausen, J.A.; Kotula, Paul G.; Lu, Ping L.; Campbell, DeAnna M.; Lu, Tzu-Ming L.; Liu, Peter Q.; Ward, Daniel R.; Misra, Shashank M.

Hydrogen lithography has been used to template phosphine-based surface chemistry to fabricate atomic-scale devices, a process we abbreviate as atomic precision advanced manufacturing (APAM). Here, we use mid-infrared variable angle spectroscopic ellipsometry (IR-VASE) to characterize single-nanometer thickness phosphorus dopant layers (δ-layers) in silicon made using APAM compatible processes. A large Drude response is directly attributable to the δ-layer and can be used for nondestructive monitoring of the condition of the APAM layer when integrating additional processing steps. The carrier density and mobility extracted from our room temperature IR-VASE measurements are consistent with cryogenic magneto-transport measurements, showing that APAM δ-layers function at room temperature. Finally, the permittivity extracted from these measurements shows that the doping in the APAM δ-layers is so large that their low-frequency in-plane response is reminiscent of a silicide. However, there is no indication of a plasma resonance, likely due to reduced dimensionality and/or low scattering lifetime.

More Details

Low thermal budget high-k/metal surface gate for buried donor-based devices

JPhys Materials

Anderson, Evan M.; Campbell, De A.; Maurer, Leon N.; Baczewski, Andrew D.; Marshall, Michael T.; Lu, Tzu-Ming L.; Lu, Ping L.; Tracy, Lisa A.; Schmucker, Scott W.; Ward, Daniel R.; Misra, Shashank M.

Atomic precision advanced manufacturing (APAM) offers creation of donor devices in an atomically thin layer doped beyond the solid solubility limit, enabling unique device physics. This presents an opportunity to use APAM as a pathfinding platform to investigate digital electronics at the atomic limit. Scaling to smaller transistors is increasingly difficult and expensive, necessitating the investigation of alternative fabrication paths that extend to the atomic scale. APAM donor devices can be created using a scanning tunneling microscope (STM). However, these devices are not currently compatible with industry standard fabrication processes. There exists a tradeoff between low thermal budget (LT) processes to limit dopant diffusion and high thermal budget (HT) processes to grow defect-free layers of epitaxial Si and gate oxide. To this end, we have developed an LT epitaxial Si cap and LT deposited Al2O3 gate oxide integrated with an atomically precise single-electron transistor (SET) that we use as an electrometer to characterize the quality of the gate stack. The surface-gated SET exhibits the expected Coulomb blockade behavior. However, the gate’s leverage over the SET is limited by defects in the layers above the SET, including interfaces between the Si and oxide, and structural and chemical defects in the Si cap. We propose a more sophisticated gate stack and process flow that is predicted to improve performance in future atomic precision devices.

More Details
Results 26–50 of 173
Results 26–50 of 173